Verilog Qna 관련 답변 6 페이지

verilog 카운터 오류..//코드 있음

`timescale 1ns / 1ps module cnt_4 (clk, rstb, cnt); input clk, rstb; wire [4:0] t; output [3:0] cnt; reg [3:0] cnt; initial cnt[0] <= 1'b0; assign cnt[0] ^ t[0]...

태그: verilog, veriloghdl, hdl, 조합회로, 조합회로설계, 전기전자공학

verilog adder 질문입니다..

tb입니다.. module tb_bcd_add; reg [7:0] a, b; reg c0; wire [7:0] s; wire cout; bcd_add bcd_add(a, b, s, c0, cout); initial begin c0 = 0; for (a[7:4] = 4...

태그: verilog, hdl, 프로그래밍, 전자전기공학, 전자공학, verilog코딩

verilog hdl 질문입니다.. 제발...

이걸 해야하는데 어떻게 하나요.. tb 입니다.. module tb_parity; reg [7:0] data wire parity_err; wire [8:0] trx_data wire [8:0] trx_data1;...

태그: verilog, veriloghdl, hdl, 전자전기공학

verilog 질문입니다//코드있음

4비트 가감산기를 만드는 문제인데, sub = 0일떼 덧셈을, sub이 1일때 뺄셈을 수행하는겁니다.. 근데, 하위모듈로 Fulladder를...

태그: 프로그래밍, verilog, 조합회로, 전자전기공학, 전자공학

verilog 크기 안맞음?

`timescale 1ns / 1ps module lfsr_4bit (x_out, clk, presetb); input clk, presetb; output [4:1] x_out; reg [4:1] x_out; wire feedback; assign feedback = ~(x_out[4] ^ x_out[3]...

태그: verilog, hdl, lfsr, 전자공학

mux 4:2? verilog 질문입니다.

`timescale 1ns / 1ps module carry_select_adder(a, b, sum, c16); input [15:0] a; input [15:0] b; output [15:0] sum; output c16; assign {c1_12, s1_12} = a[15:12] + b...

태그: verilog, hdl, 디지털논리회로, mux회로

verilog 원하는값

`timescale 1ns / 1ps module rol(a, rol_amt, y); input [7:0] a; input [2:0] rol_amt; output [7:0] y; assign y[7:0] = (a[7:0] << rol_amt[2:0]) | (a[7:0] >> 8 - rol...

태그: verilog, hdl, 전자공학, 베릴로그

verilog 뒤에 z값?

`timescale 1ns / 1ps module bcd2exc3(b,e); input [3:0]b; output [3:0]e; assign e[0] = ~b[0], e[1] = (b[0]&b[1])|(~(b[1]|b[0])), e[2] = ((~(b[1]|b[0]))&b[2]) | ((b[1]|b[0]...

태그: verilog, verilog코딩, verilog코드, 전자공학, hdl, 전자전기공학

verilog 질문

`timescale 1ns / 1ps module bit_operations(a, y); input [31:0] a; output [31:0] y; assign y[31:24] = 8'h^a[31:24]; assign y[23:16] = 8'h11|a[23:16]; assign y[15...

태그: verilog, 전자전기공학, hdl, 전자공학과

always @(AorB)

이게 무슨뜻인가요 그리고 always @(posedge clk)는 무슨뜻인가요

태그: verilog

    실시간 인기 검색어
    인기 검색어 더보기 →
    ...