verilog 카운터 오류..//코드 있음

verilog 카운터 오류..//코드 있음

작성일 2022.11.03댓글 1건
    게시물 수정 , 삭제는 로그인 필요





`timescale 1ns / 1ps

 

module cnt_4 (clk, rstb, cnt);

input clk, rstb;

 

wire [4:0] t;

 

output [3:0] cnt;

reg [3:0] cnt;

 

initial cnt[0] <= 1'b0;

 

 

assign cnt[0] ^ t[0]

 

assign t[0] = 1;

assign t[4] = (cnt[3] & t[3]);

assign t[3] = (cnt[2] & t[2]);

assign t[2] = (cnt[1] & t[1]);

assign t[1] = (cnt[0] & t[0]);

 

always @(posedge clk or negedge rstb) begin

 

if (!rstb) cnt[3:0] <= 4'h0;

 

else if (t[0]==1) cnt[3:0] <= ~(cnt[3:0]);

 

else cnt[3:0] <= cnt[3:0];

 

end

 

endmodule

 

 

module tb_cnt_4;

reg clk, rstb;

wire [3:0] cnt;

cnt_4 u0(clk, rstb, cnt);

 

initial clk = 0;

 

always #5 clk = ~clk;

 

initial begin

#0 rstb = 0;

#10 rstb = 1;

#300 $stop;

end

endmodule


뭐가문제인가요? 한발자국만 가면 될것같은데..


#verilog 카운터 #verilog 클럭 카운터 #동기식 카운터 verilog

profile_image 익명 작성일 -

reg [3:0] cnt부분이 회로와 맞지 않는 것 같습니다.

아래와 같이 코드를 수정해보세요!

혹시 다른 질문이 있으시다면 댓글 달아주세요

`timescale 1ns / 1ps

module cnt_4 (clk, rstb, cnt);

input clk, rstb;

wire [4:0] t;

output [3:0] cnt;

reg [3:0] cnt;

initial cnt[0] <= 1'b0;

assign cnt[0] ^ t[0]

assign t[0] = 1;

assign t[4] = (cnt[3] & t[3]);

assign t[3] = (cnt[2] & t[2]);

assign t[2] = (cnt[1] & t[1]);

assign t[1] = (cnt[0] & t[0]);

always @(posedge clk or negedge rstb) begin

if (!rstb) cnt[3:0] <= 4'h0;

else begin

(t[0]==1) cnt[0] <= ~(cnt[0]);

(t[1]==1) cnt[1] <= ~(cnt[1]);

(t[2]==1) cnt[2] <= ~(cnt[2]);

(t[3]==1) cnt[3] <= ~(cnt[3]);

end

else cnt[3:0] <= cnt[3:0];

end

endmodule

코드, 2 out of 5 코드, 링 카운터

... 설정되는 코드입니다. 이는 오류 검출 기능을 내재하고 있어, 데이터 전송의... 수 있음). 링 카운터 코드 (Ring Counter Code) 링 카운터 코드는 순차적으로 1의 위치가...

verilog 코드질문입니다

... led4<=1;end end endmodule 2bit 카운터에 led 변수를 대입해서 led가 카운터에 맞게 켜지도록 한 코드인데 문법적으로는 오류가 없고...

파이썬 코드 질문이요

... 동일하면 오류가 발샐할 수 있음)) print(st[l],end='') 원하시는 값이... 그 상태에서 n 변수의 카운터는 8로 st 리스트가 가지고 있는 값의 수보다...

iis admin 에서 오류가 뜨는데 어떻게...

... 프로세스 종료 코드는 '0x%3'입니다. 1010 응용... 데이터 필드에 오류 번호가 있습니다. 1019 성능 카운터... 문제가 있음을 감지하였으므로 재생되고 있습니다. 1082...