verilog Qna 관련 답변 4 페이지

내공500! 조합회로 질문~

안녕하세요! 제가 궁금한건 밑의 첫 사진의 예시처럼 베릴로그 표현식으로 2번째 사진의 조합회로를 나타낼려면 어떻게 나타내야 하는지 궁금합니다! 헷갈리네요...

태그: 조합회로, verilog, 전자, 전기, 베릴로그

verilog 질문있습니다.

... 질문이 verilog라면 각 모듈의 코드가 있어야 좀 더 정확한 분석이 됩니다. 뭘... 혹시 문제를 낸 사람이 있으면 각 모듈의 verilog 코드를 달라고 해보세요. 코드...

태그: verilog, 코딩, cpu설계

Op amp verilog (베릴로그) 설계

... opamp는 아날로그 소자인데 verilog는 디지털 로직을 표현하는 언어입니다. 아날로그 소자를 표현하는 verilog-a를 이용하여 표현은...

태그: 베릴로그, opamp회로, 전자회로, 프로그래밍, verilog

노트북으로 데스크톱 개발 환경에서...

학교 과제를 하기 위해 여러 프로그래밍 환경과 프로그램 설치가 필요합니다. 그러나 제 노트북은 용량도 부족하고 성능도 좋지 않아 프로그램이 정상 작동하지 않습니다....

태그: 프로그래밍, verilog, 원격제어, vscode, 인공지능, 머신러닝, 개발환경, 개발환경구축, 개발환경설정, 개발환경툴

베릴로그 탑에 모듈 연결

제일 위에 사진이 탑 모듈이고 아래 4개가 테스트 벤치일 때 테스트 벤치로 입력된 값들이 UART로 들어가는 변수의 이름을 정하려고 하는데 탑 코드에서 //changed라고...

태그: 베릴로그, 모듈, verilog, 코딩

C언어로 Verilog 테스트벤치 만드는법

... 모르겠네요 Verilog 디자인을 위해 C 언어로... 테스트벤치는 일반적으로 디자인 설명(Verilog... 그러나 C로 테스트벤치를 작성한 다음 이를 Verilog...

태그: C언어, verilog, fpga, 코딩, testbench

verilog generate instance 질문이요

verilog에서 generate로 인스턴스를 선언하려고하는데 포트 name을 0번 인스턴스는 port_0을 연결하고 1번 인스턴스에는 port_1을 연결하고 이렇게...

태그: verilog

verilog 32비트 가감산기 코드

v코드 32비트 adder나 subtractor 코드 주실 수 있는분? module adder32(input [31:0] a, b, output [31:0] result); assign result = a + b; endmodule...

태그: 베릴로그, verilog, FPGA

verilog hdl코드

module clock_timer(clk,clk2,rst,hour1,hour2,min1,min2,sec1,sec2,point1); input clk,rst; output [3:0] sec1,min1,hour1,point1; output [2:0] sec2, min2; output [1...

태그: verilog, 디지털회로설계

베릴로그 mux 사용하는 법

베릴로그에서 mux를 c언어에서의 함수처럼 사용하는 법이 무엇인가요? 예를 들어 mux(input, output) 이런식으로 사용하는 방법이요...

태그: 전자공학, 베릴로그, MUX, verilog, 디지털논리설계

    실시간 인기 검색어
    인기 검색어 더보기 →
    ...