베릴로그 Qna 관련 답변 6 페이지

베릴로그 코드 해석 부탁드려요.

빨간색으로 표시해둔 부분이 무슨 의미인지 모르겠어요.. ALU_PROC으로 선언해둔 모듈이나 변수가 없는데 저게 뭘 의미하는 건가요? begin:ALU_PROC // begin 블럭의...

태그: 논리회로설계, 베릴로그, velilog, 논리회로, 전자공학, vhdl소스, vhdl코드, 코드

verilog 질문

module 8bit_multiplier(c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4,c3,c2,c1,c0,b7,b6,b5,b4,b3,b2,b1,b0,a7,a6,a5,a4,a3,a2,a1,a0) output c15,c14,c13,c12,c11,c10,c9,c8,c7,c6,c5,c4...

태그: HDL, 베릴로그, verilog, FPGA, 논리회로, 디지털회로, 전자공학

Vivado (verilog) 사양질문

최신버전 Vivado 권장사양이 어떻게 되는지 궁금합니다. 현재 노트북으론 돌리다가 튕기는 경우가 허다하여 새로 구매하고 싶은데 어느정도가 최소이고 권장인지가...

태그: 베릴로그, vivado

Quartus 2(쿼터스) 베릴로그 VHDL...

제목 그대로 입니다. pin planner로 말고 .qsf에 핀코드?? 를 적으면 된다고 하는게 그게 무슨 말인지 잘 모르겠어요.. .qsf 파일이 있긴한데 못여는...

태그: 베릴로그, 베릴로그고수, velilog, VHDL, FPGA, quartus2

베릴로그 오류

D-FF를 이용한 serial adder를 만들려고 합니다. `timescale 1ns / 1ps module serial_adder(A,B,Load_A,Load_B ,Ra,Rb, Clock,cin,cout,sum,Q,D); //shift...

태그: 베릴로그

Verilog 코드 질문 [내공 500]

기존 코드가 parameter ENEMY_Y_T = 32; //y 값이 ,32 ~ 93 parameter ENEMY_Y_B = 93; parameter ENEMY_X_SIZE = 100; // x 사이즈 /*중간 적의 크기*/ parameter ENEMY1_Y_T = 113;...

태그: 베릴로그고수, 베릴로그, 베릴로그대행

베릴로그 hdl

verilog hdl fpga 키트안에서 일정한 숫자 도달시 led불이 깜빡거리게 하는 소스좀 알려주세요! 예) 푸쉬버트 스위치를 누르는데 누른횟수가 20이상이면 led불이...

태그: 베릴로그, 베릴로그기초, 베릴로그고수, 베릴로그강의, 베릴로그추천, verilog, verilog코드, veriloghdl, verilog코딩, verilogcode

verilog random number generator 어떻게...

... " 이라고 오류가 발생하네요 자일링스 ISE 사용중인데 ISE에서 지원하지않는건지 뭔지는 제가 베릴로그 초보라서 여기저기 뒤져봐도 모르겠어서...

태그: 베릴로그, 난수, randomnumber

베릴로그 비바도 코딩 질문

빨간색 ...your codes 에 코딩으로 아래 조건을 만족시키는건데 기초도 아예 없어서 방법을 모르겠습니다... 오늘 자정까진데 지푸라기라도...

태그: 베릴로그, 비바도, xilinx, 논리회로, 디지털논리회로

베릴로그 제작 질문드립니다!

... 평소 답변들을 통해 많이 공부하고 있습니다 ㅎㅎ 베릴로그 제작 문의 드리려고 하는데 혹시 개인적으로 연락 취할 수 있는 방법이 있을까요? 안녕하세요. 제가 이제서야...

태그: 베릴로그

    실시간 인기 검색어
    인기 검색어 더보기 →
    ...