Modelsim Qna 관련 답변 3 페이지

modelsim 베릴로그 verilog .v파일이...

어제까지는 프로그램 이상없이 사용했는데 오늘 갑자기 프로그램 파일이 열리지 않고 윈도우에서 "이 파일을 열 때 사용할 앱을 선택하세요"문구가 뜹니다. 컴파일 및...

태그: 베릴로그, verilog, modelsim, 모델심, 코딩질문, 코딩, 코딩에러

modelsim 4-to-1 멀티플렉서 VHDL 오류

entity를 바꿔봐도 컴파일이 되질 않습니다,, 오류 알려주세요ㅠㅠ

태그: modelsim, 모델심, error, compile, 테스트벤치, 멀티플렉서, 디지털논리회로, 전기전자기초실험, VDHL, vhd

모델심 테스트벤치

... ** Error: (vlog-13053) C:/modelsim/swap_tb01.v(3): near "'t": Illegal base... ** Error: (vlog-13069) C:/modelsim/swap_tb01.v(3): near "'t": syntax error...

태그: 모델심테스트벤치, modelsim, 문법, 검색질문, 통합검색궁금증, 통합검색질문하기

modelsim 설치 도중 오류..

실행파일 설치까지 끝내고 아이콘 눌러서 사진이랑 같은 과정까지 진행이 됩니다. 그런데 그 이후로 아무것도 뜨지가 않아요,,, 과제해야하는데 설치가 안돼서 애먹고있네요...

태그: modelsim, 운영체제, 다운로드오류, 실행파일, 모델심

모델심에서 wave가 일자로 나오면...

... end endmodule 이런 식으로 식을 짜서 modelSIM을 돌렸는데 wave가 보이시는 것 처럼 일자로 나와요ㅠㅠ 고수님들 제가 어떤 걸 잘못한...

태그: modelSIM, 베릴로그

ModelSim 시뮬레이트 할 파일이 안떠요

살려주세요 시뮬레이트 해야해요 vlib work vmap work 를 console window에 입력후 다시 컴파일 해보세요.

태그: modelsim, 모델심, 디지털집적회로설계

ModelSim 시뮬레이트가 안돼요

사진에 저 빨간 부분에 다들 M 모양이 있던데 전 없어요 왜그러죠? 다른 파일들 컴파일은 되던데 시뮬레이트가 안돼요... 다른파일 생성하셨거나 vhdl 코드 시뮬레이션...

태그: 디지털집적회로설계, 모델심, modelsim

modelism 설치가 안되요

여기까지는 되는데 그 이후에 설치가 안되요 ㅠ.ㅠ.

태그: modelsim

modelsim error loading design

라이센스 올바른 경로에 붙여넣기 했고 파일명도 제대로 설정 했고 코드도 예제 복붙한거라 맞을텐데 자꾸 에러가 뜹니다 네번 지웠다 깔았는데도 안고쳐져요.. 도와주세요

태그: modelsim, 모델심

코딩 질문있습니다!!!

... 제가 ModelSim -Altera 를 사용해서 위에 코드를 사용해서 실행 시켜야하는데 저기에 무엇을 더 작성해야 컴파일할떄 오류가안나고 실행이...

태그: 코딩, altera, modelsim, 전자공학과, vdhl, VLSI

    실시간 인기 검색어
    인기 검색어 더보기 →
    ...