veriloghdl Qna 관련 답변 2 페이지

verilog hdl 질문입니다.. 제발...

이걸 해야하는데 어떻게 하나요.. tb 입니다.. module tb_parity; reg [7:0] data wire parity_err; wire [8:0] trx_data wire [8:0] trx_data1;...

태그: verilog, veriloghdl, hdl, 전자전기공학

FPGA 설계 프로젝트 주제추천

안녕하세요 이제 막 FPGA/VIVADO 독학을 시작했고 내년 3월 공채전까지 자소서에 어필가능한 작은 프로젝트도 수행하려합니다. 학부생 졸업시즌이라 시간이 매우 널널하여...

태그: FPGA, 디지털회로설계, VIVADO, VERILOG, VERILOGHDL, VHDL

베릴로그HDL질문있습니다.

module count_down(input clk, rst, output [3:0] count); reg [3:0] cnt; assign count = cnt; //////////////////////////////////// module count_down(input clk, rst, output reg [3:0] count); 위...

태그: veriloghdl, 전자공학

verilog HDL 독학

현재 전자공학과 4학년 재학중인 학생입니다. 디지털 하드웨어 설계쪽 진로를 잡았고 여름방학 기간을 이용해서 베릴로그 HDL를 독학해보려는 초보 입문생입니다....

태그: verilog, 디지털설계, 논리회로, 전자공학과, 팹리스, 하드웨어설계, veriloghdl

modelsim error

모델심으로 시뮬레이션하는데 자꾸 에러가 뜨네요,,,ㅠ modelsim error loading design 이 경우 path가 잘못 되었다는데 path에 공백이나 한글없어서 뭐가 문제인지 모르겠어요......

태그: modelsim, modelsimtestbench, verilog, veriloghdl

verilog 연산자 없이 2진수 덧셈 질문

만약에 2진수 4비트로 1101(13)+1100(12)을 더한다고 했을때 verilog에서 +를 하면 되지만 만약에 산술 연산자 없이 +을 하려면 어떻게 해야하나요? or게이트를 쓰면 올림을...

태그: verilog, veriloghdl

verilog HDL 질문있습니다. (Quartus...

3일째 밤새 하고 있는데 정답이 안나와서 질문합니다. 1) 같은 CODE를 만든 뒤 Test를 진행하였을 때, 3) 그림처럼 input_mem에 정상적으로 값이 입력된 것을 확인...

태그: veriloghdl, verilog, quartus설계, quartus, testbench

베릴로그 hdl

verilog hdl fpga 키트안에서 일정한 숫자 도달시 led불이 깜빡거리게 하는 소스좀 알려주세요! 예) 푸쉬버트 스위치를 누르는데 누른횟수가 20이상이면 led불이...

태그: 베릴로그, 베릴로그기초, 베릴로그고수, 베릴로그강의, 베릴로그추천, verilog, verilog코드, veriloghdl, verilog코딩, verilogcode

verilog 코드 관련 질문입니다.

올렸다 내렸다 하는 스위치 있잖아요, 그걸 올리게 되면 1을 더하고 내리게 되면 1을 빼는 그런 코드를 어떻게 짜면 될지 모르겠습니다.....

태그: verilog, verilog코드, veriloghdl

Verilog HDL 질문입니다.

간단한 설계 진행 중 ERROR가 발생했습니다. case 문이 잘못된거같은데, 혹시 하나의 case에 두개의 function을 동작시킬 때 문제가있나요? 23~24 번째 문장에서 계속...

태그: Verilog, Veriloghdl

    실시간 인기 검색어
    인기 검색어 더보기 →
    ...