VHDL소스 Qna 관련 답변 2 페이지

7 세그먼트 디코더 vhdl코드...

0~F 까지 led에 나와야하는데 vhdl 코드 좀 알려주세여... 급합니다 내ㅗㄱㅇ 100드릴게요 제발요

태그: 공학, 반도체, fpga, vhdl소스, 대학, vhdl코드, 7세그먼트회로구성, 7세그먼트

irovlab 3000을 이용한 vhdl 설계

제가 리모콘을 이용해서 irovlab 3000의 스텝모터와 lcd 화면을 띄우고 스텝모터를 동작시키는 설계를 해보았는데...

태그: vhdl코딩, vhdl, lcd, vhdl소스, vhdl코드, irovlab3000, vhdl고수

VHDL 4비트가산기/감산기를 8비트로...

4비트 가산기/감산기를 8비트로 바꾸려고 하는데 어느 수정해야 하나요 ㅠㅠ use ieee.std_logic_1164.all; package my_package is...

태그: 전자, vhdl소스

VHDL에서 NAND GATE 질문입니다.

입력이 3개이고 출력이 하나인 NAND GATE를 VHDL에서 어떻게 작성해야 되나요? E는 출력 a,b,c는 입력입니다. E <= a nand b nand c; 이렇게 작성하니깐 오류가 떠서...

태그: 디지털공학문제, vhdl소스, 전자공학실험

vhdl 설계관련 질문입니다.

vhdl 설계관련 질문입니다. 1)번에서 convert를 할 때 입력을 h1 h2로 나눠서 해야되는데 굳이 따로 입력해야되나요? when h1과 h2가 is 00001-> m1과 m2는 00001...

태그: vhdl소스

8X3 Encoder VHDL source code...

-- DECODER3X8.VHD LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY DECODER3X8 IS PORT( A, B, C : IN STD_LOGIC; O : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END...

태그: 전자공학, 디지털공학, vhdl소스, vhdl코드, vhdl고수

2비트 비교기

밑의 사진으로 논리식이랑 논리 회로도, VHDL 소스코드 알려주시면 감사하겠습니다.

태그: vhdl소스, 논리회로도

verilog test bech 질문입니다

GCD 구현에 대한 testbench를 작성하라. data 55와 15에 대하여 gcd를 계산하고 이어서 24와 7에 대한 gcd를 계산한다. 다음을 계산하는 Booth...

태그: verilog코드, testbench, vhdl소스

VHDL 관련 과제 좀 도와주세요

1. VHDL 자료형 중 std_logic에 대한 정리와 std_logic_vector와 차이점 2. VHDL 객체 중 신호(signal)와 변수(variable)의 차이점 3. VHDL로 플립플롭을 코딩할 때 클럭의...

태그: VHDL, vhdl코딩, 플립플롭, 공대, 전자과, 도와주세요, vhdl소스, std_logic, STD

VHDL 펄스 구현 문제 질문

D flip flop을 이용해서 구현해야하는걸로 알고있는데.. 맞나요? 도저히 구현을 못하고있습니다.. - 입력되는 클럭을 세어 10개마다 한 클럭의 폭을 가지는 펄스를 생성하는...

태그: vhdl코드, VHDL, vhdl코딩, vhdl소스, vhdl개발

    실시간 인기 검색어
    인기 검색어 더보기 →
    ...