verilog코딩 Qna 관련 답변 1 페이지

VerilogHDL 책 추천

VerilogHDL 서점에서 구입해서 조금 쉬운 난이도로 공부할 수 있는 책 추천받습니다. 간단한 인강자료나 실습자료도 괜찮습니다.

태그: 프로그래밍, 베릴로그기초, 베릴로그, 베릴로그강의, 베릴로그추천, verilog, verilog코딩, veriloghdl, 반도체

modelsim 8 비트 카운터

verilog 8비트 T flipflop counter을 만들어야 하는데 gate 레벨이나 dataflow레벨로만 만들어야 해요... 코드 짜주시는분 무조건 채택해드려요(제가 한번 돌려보고...

태그: modelsim, verilog, verilog코딩, verilog대행, verilog코드, Tflipflop, TFF, T_flipflop, flipflop, counter

Verilog 베릴로그 카운트다운 타이머

30초에서 0초로 역으로 흐르는 카운트 다운 타이머 코드를 짜려고하는데 어떻게 짜야할지 구상이 하나도 안됩니다 도와주세요......

태그: fpga, verilog, verilog코딩

aldec active-hdl 코드 질문입니다

이 코드로 2진수를 bcd코드로 변환해서 7segment로 표현하고있는데 100의자리부터는 어떻게해야 표현이 가능할까요?

태그: 전자공학, 프로그래밍, verilog코딩, vhdl코딩

verilog과제 도와주세요ㅠㅠ

verilog과제 도와주실분 계실까요 조금이라도 사례해드리겠습니다ㅠㅠ 답변 남겨 주시면 바로 내용 보내드릴 수 있습니다! verilog 외주를 맡기실 의향이...

태그: verilog코딩, verilog대행, quartus2

verilog adder 질문입니다..

tb입니다.. module tb_bcd_add; reg [7:0] a, b; reg c0; wire [7:0] s; wire cout; bcd_add bcd_add(a, b, s, c0, cout); initial begin c0 = 0; for (a[7:4] = 4...

태그: verilog, hdl, 프로그래밍, 전자전기공학, 전자공학, verilog코딩

verilog 뒤에 z값?

`timescale 1ns / 1ps module bcd2exc3(b,e); input [3:0]b; output [3:0]e; assign e[0] = ~b[0], e[1] = (b[0]&b[1])|(~(b[1]|b[0])), e[2] = ((~(b[1]|b[0]))&b[2]) | ((b[1]|b[0]...

태그: verilog, verilog코딩, verilog코드, 전자공학, hdl, 전자전기공학

verilog 설계 질문입니다 ㅠㅠ

verilog설계 질문입니다 문제는 사진과 같은데 갈피가 하나도 안잡혀서 코딩 갈피라도 잡아주시면 감사하겠습니다 제발...

태그: verilog, verilog코드, verilog코딩

verilog 8비트 곱셈기 코드 수정...

테스트코드는 댓글에 적어놨습니다. [code]<부호없는 곱셈기> module mul_8x8(a, b, mul_out); input [7:0] a,b; output [15:0] mul_out; wire...

태그: verilog, verilog코드, verilogcode, verilog코딩, 프로그래밍, 코딩

    실시간 인기 검색어
    인기 검색어 더보기 →
    ...