Vivado Qna 관련 답변 1 페이지

vhdl 오류에 관해서

modelsim으로 하다가 한계를 맞아 vivado를 사용해서 vhdl을 사용하고 있는데 시뮬레이션을 돌리면 오류가 계속 나와서요...

태그: vivado, vhdl코드

vivado VHDL 설계 질문이요 ㅜㅜ

다른건 다 풀겠는데 이 조건으로 디자인코드 작성하는게 너무 안풀리네요 ㅜㅜ 디자인 코드랑 시뮬레이션 코드 알려주실분 계신가요? ㅜㅜ..

태그: vivado, vhdl코드, 디지털공학, 디지털공학실험

Vivado FPGA Bitstream생성오류질문입...

Arty-A7 100t라는 제품을 혼자 실습해보고 있고, 아직 초보인지라 각각아래 창에서 말하는 오류/경고 들이 무엇을 고치라는건지 모르겠어서 질문드립니다.....

태그: fpga, vivado

vivado system verilog 오류

system verilog에서 코드 입력한 다음 Run linter 눌렀는데 저렇게 오류 뜨네요ㅠㅠㅠㅠ 오류 어떻게 없앨 수 있죠??? 이미지가 너무 작아서 코드랑 오류 메시지가 안...

태그: vivado, systemverilog

vitis 글씨꺠짐

아래 사진처럼 글씨 깨지는데 어떻게 해결하는지 아시는 분 있으신가요? encoding 문제인거 같은데 찾아봐도 안나오네요. encoding 종류를 바꿔도 다 저렇게 나와요....

태그: vitis, vivado, amd

vitis encoding 글씨깨짐

vitis console창이 계속 깨지는데 이거 어떻게 해결하나요?ㅠㅠ 아무리 찾아봐도 안나오네요. 일단 baud rate문제는 아닌거 같아요. 다른 노트북에서는 제대로...

태그: vivado, vitis, encoding

FPGA 오류 관련 질문

Vivado를 통해서 디지털 회로 설계를 하는 과제를 수행했습니다. Convolution 연산을 하고 그 결과가 FPGA의 숫자판에 나오도록 하는 과제인데, Vivado...

태그: 디지털회로설계, Vivado, FPGA

대학교 코딩 프로젝트 외주업체

... 도 쪽지 받습니다. (금액은 넉넉히 챙겨드릴게요) 프로그램은 Verilog 사용하는 Vivado 입니다. 위시켓 이나 크몽 같은대서 문의 해 보시면 쉽게 찾을수 ㅇ있을듯 합니다. :)

태그: 코딩외주, 코딩, 코딩고수, 코딩고수도와주세요, vivado, verilog

vivado에서 FIFO를 구현하고 있는데...

simple 듀얼 ram(256X32)으로 FIFO동작을 하는 코드를 만들고 fifo ip를 통해서 동작이 같은지 확인하라는 과제를 하고있습니다....

태그: 베릴로그고수, 베릴로그, vivado, 시스템설계, 코딩

vivado 설치후 노트북 벽돌현상

vivado hdl 프로그램 설치후 재부팅하라는말이 있어서 다시시작을 눌렀더니... 그창나오고 vivado hdl 프로그램 삭제하고 바탕화면 좌측하단에 시작 클릭하고 검색창에...

태그: 레노버노트북, 부팅오류, 비바도, vivado, vivado다운

    실시간 인기 검색어
    인기 검색어 더보기 →
    ...