VHDL고수 Qna 관련 답변 1 페이지

Vivado VHDL 관련

안녕하세요. 위에거가 문제이고 Testbench 는 밑에 첨부해놨는데 어떻게해야지 문제 해결이 가능할까요? vivado 에서...

태그: 전기전자공학, 전기전자, vivado, vhdl코드, vhdl고수, xillinx

vhdl 오류

kind가 0부터 4까지는 in1과 in2가 덧셈, 뺄셈, 곱셈, 나눗셈, 나머지이고 kind가 5부터 10까지는 in1과 in2 이 and, or, xor, nand, nor...

태그: vhdl, vhdl코드, vhdl소스, vhdl코딩, vhdl고수, vhdl문법

VHDL 오류

kind가 0부터 4까지는 in1과 in2가 덧셈, 뺄셈, 곱셈, 나눗셈, 나머지이고 kind가 5부터 10까지는 in1과 in2 이 and, or, xor, nand, nor...

태그: vhdl, vhdl코드, vhdl소스, vhdl코딩, vhdl고수

VHDL J-k 플립플롭 코드좀 알려주세요!!

상승 에지 트리거 J-K 플립플롭 진리표가 동작되도록 When-else 문이랑 process if 문을 사용하여 코드알려주세요!! (입출력 신호 이름은 J, K, CP, Qout, QAout으로 사용)...

태그: vhdl코드, vhdl소스, vhdl고수, jk플립플롭

8x1 mux vhdl

library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity mux_8x1 is Port (A,B,C,D : in std_logic; EN: in std_logic; f : out std_logic); end mux_8x1; architecture...

태그: vhdl소스, vhdl코드, vhdl고수, vhdl코딩

vhdl코드 부탁드립니다.

안녕하세요 vhdl로 10진수로 1에서부터 10000의 자리 수중 하나를 임의로 받아서 다시 4비트로 변환하고 이것을 각각 7segment5개로 표현하고 싶은데요 혹시 이것을...

태그: vhdl코드, vhdl고수

VHDL AND게이트 컴파일 에러 질문입니다.

글씨가 너무 작아서 다시 써보면 ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or 'C:/Users/redst/NOT...

태그: vhdl코딩, VHDL고수

irovlab 3000을 이용한 vhdl 설계

제가 리모콘을 이용해서 irovlab 3000의 스텝모터와 lcd 화면을 띄우고 스텝모터를 동작시키는 설계를 해보았는데...

태그: vhdl코딩, vhdl, lcd, vhdl소스, vhdl코드, irovlab3000, vhdl고수

vhdl고수님들 도와주세요....

package example을 구현하라. package body example을 구현하라 function "AND" 를 이용하는 entity test를 구현하라. (test는 byte type의 입력 두개를 and 연산하여...

태그: vhdl고수, vhdl코드, vhdl코딩, vhdl, package, function

8X3 Encoder VHDL source code...

-- DECODER3X8.VHD LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY DECODER3X8 IS PORT( A, B, C : IN STD_LOGIC; O : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END...

태그: 전자공학, 디지털공학, vhdl소스, vhdl코드, vhdl고수

    실시간 인기 검색어
    인기 검색어 더보기 →
    ...