Modelsim Qna 관련 답변 1 페이지

베릴로그 테스트벤치 오류

... 컴파일돼야 Modelsim에서 시뮬레이션할 수 있는거 맞겠죠..? 알려주시면 감사하겠습니다 `timescale 1ns / 1ps module Testbench; reg clk; initial begin clk <=0; 160 $finish;...

태그: 베릴로그, 논리회로설계, quartus2, modelsim

맥 M1에서 모델심(Modelsim) 다운로드...

대학 과제용으로 베릴로그를 사용해야 돼서 Modelsim 프로그램을 설치해야하는데... 맥에 Modelsim 설치하는 방법이 있을까요? 맥 성능이나 저장공간에 최대한 영향 없는...

태그: 베릴로그, 맥윈도우설치, 모델심, modelsim, verilog

modelsim 8 비트 카운터

verilog 8비트 T flipflop counter을 만들어야 하는데 gate 레벨이나 dataflow레벨로만 만들어야 해요... 코드 짜주시는분 무조건 채택해드려요(제가 한번 돌려보고...

태그: modelsim, verilog, verilog코딩, verilog대행, verilog코드, Tflipflop, TFF, T_flipflop, flipflop, counter

모델심 컴파일

분명 모델심에서 수식 작성하고 컴파일도 success가 나왔는데도, simulation을 하려고하면 파일이 나오지 않습니다. 아마도 컴파일은 성공했다고 나오는데, 이게...

태그: modelsim, modelsimtestbench, 모델심, 모델심테스트벤치, 전자공학과, 디지털논리회로

모델심 에러

\ 상단 에러 해결 방법 부탁 드립니다! 컴파일 하고 시뮬레이션 돌린다음에 런으로 들어가야 하는데 누를수 조차 없게 됩니다. 해결 부탁드립니다. 첫번째 사진이랑 동일한...

태그: modelsim

modelsim 설치관련 질문입니다.

모델심을 설치하려고 다운 받은후 실행시켰는데 아래의 화면까지만 나온후 더이상 반응이 없습니다. 제발 도와주세요ㅠㅠㅠ

태그: modelsim, 프로그램설치오류

modelsim error

모델심으로 시뮬레이션하는데 자꾸 에러가 뜨네요,,,ㅠ modelsim error loading design 이 경우 path가 잘못 되었다는데 path에 공백이나 한글없어서 뭐가 문제인지 모르겠어요......

태그: modelsim, modelsimtestbench, verilog, veriloghdl

모델심 wave에서 sim: 이거 어떻게...

몇일전까지 안나왔던걸로 아는데,, 어떻게 없애는지.. 혹시 아시는분 없을까요?? Toggle Leaf Names는 경로 싹 지우고 신호명만 표시하는건데.. 이거말고.. sim:신호명...

태그: modelsim

쿼터스 modelsim 변수가 안뜹니다....

안녕하세요 verilog이용해서 testbench code simulation 해보려고 하는데요, 파란 화면에 변수가 뜨지 않습니다 ㅠㅠ 무엇이 잘못된걸까요..? 제가 짠 testbench...

태그: modelsimtestbench, modelsim, quartus, verilog, 코딩, 쿼터스2, 모델심시뮬레이션

Modelsim 에 관하여 transcript monitor

Modelsim을 사용하는데 코드가 아예 똑같은 다른 친구들의 경우 monitor을 사용한 만큼 transcript에 해당 로그가 찍히나 저는 저런 식으로 그냥 끝나버리더라구요. 저도...

태그: modelsim

    실시간 인기 검색어
    인기 검색어 더보기 →
    ...