File Qna 관련 답변 1 페이지

re:file 사이트 해킹

제가 평소 잔인한거를 좋아해서 찾아보다가 re:file이라는 사이트를 알게됐는데요, 일본 사이트인거같더라구요 거기서 동영상을 검색해서 봤는데 혹시 해킹당하거나 할 위험이...

태그: re, file, refile, 해킹, 사이트, 웹사이트해킹

비디오가 배속돼요

오랜만에 어렸을때 영상을 돌려볼려했는데 재생을 하니까 갑자기 배속으로 진행되고 소리도 안들려요 스피커랑 다 체크했는데도 영상이 안들리네요. ㅜㅜ 무슨 방법 없을까요.....

태그: 동영상질문, 옛날동영상, 영상배속문제, 비디오, file, viewer, plus, 지식iN동영상질문

c/c++ Windows.h 관련 질문

... std::ofstream file("keylog.txt"); if (!file.is_open()) { MessageBox(NULL, "Failed to open file for writing!", "Error", MB_OK | MB_ICONERROR); return 1; } bool...

태그: c, cpp, Windows, file

윈도우 EFS 파일 암호화 해제를 위한...

EFS(Encrypting File System) 파일 암호화 해제를 위한 인증서(*.pfx) 생성까지 완료해둔 상태입니다. 해당 시스템 (EFS)은 물리적 드라이브를 다른환경(포맷, 재설치...

태그: 윈도우, 윈도우11, 윈도우2000, EFS, Encrypting, File, System, pfx, Diskinternals, Recovery

블라인드앱 가입시 choose file 이...

... 그런데 가입 절차의 마지막 단계에서 명함 사진 등을 첨부해야 하는데 스마트폰 내 폴더를 열기 위한 choose file 이 열리지 않습니다. 어떻게 하면 choose file 을 열어서...

태그: 블라인드, choose, file, 사진올리기

아이폰 저장한거 확린

인터넷에서 뭧 다운받았는데요!!! 어떻게 확인하나요? 안녕하십니까? Safari에서 파일을 내려받은 경우, iOS 파일.ipa에서 확인하실 수 있습니다. 감사합니다.

태그: Apple, iOS17, File

아두이노 invalid header file

... header file오류가 뜨면서 실행되지 않습니다. 무엇이 잘못되었나요? 코드는 스샷으로 올리지시 마시고 복붙해서 올리시기 바랍니다. 스샷으로 올리면 가독성이 좋지...

태그: 아두이노프로그래밍, 아두이노코딩, 아두이노, invalid, header, file

본문 이미지와 같이 음악 파일에 대한...

위 사진은 어느 음악 관련 사이트의 플레이어 모습인데요 보시는 것과 같이 음악에 대한 어떤 지표를 저런식으로 게이지바 모양으로 표현을 해주는데 어떤 원리로 저렇게...

태그: mp3, file, 파일, 음악파일, 음악파일변환

jk의 값에 따라 q를 변하게...

library ieee; use ieee.std_logic_1164.all; entity asd123 is port ( clk, clr, pr, j, k : in std_logic; q : buffer std_logic); end asd123; architecture sample of...

태그: quartus2, vhdl, file

vmware mac os 코드 입력

mac os 13 ventura를 vmwareworkstation 16pro 에 설치하려 하는데 vmx 파일에 그 cpuid? 그런 코드들을 집어넣어야 하잖아요 그 코드를...

태그: vmwareworkstation16, macos, vmware오류, cpuid, vmx, file, Vmware, help, amd라이젠, 글카

    실시간 인기 검색어
    인기 검색어 더보기 →
    ...