컴파일에러 Qna 관련 답변 1 페이지

BIKO 두 정삼각형 컴파일 에러 질문

... 해봤는데 컴파일 에러가 자꾸 나서 질문드립니다. 아래는 제 코드입니다. include <stdio.h> define _CRT_NO_SECURE_WARNINGS int main...

태그: C언어, BIKO, 컴파일에러

visual studio c++ if문 질문

if (1) { } 이렇게 쓰면 컴파일에러가 발생하지 않는데 if (1) { } 이렇게 쓰면 컴파일에러가 납니다. 어떻게 해결해야하나요? 저 부분 이외 다른 부분은 같으며, 다른...

태그: visualstudio, c, visualstudio2022, if문, 컴파일에러

리눅스 커널 컴파일 오류

커널 컴파일 과정중 재부팅을 하면서 이렇게 뜨고 넘어가지 않습니다.. 해결하려면 어떻게 해야하나요?? 뭐라고 작성해야 돌아갈수 있을까요.. https://askubuntu....

태그: 컴파일에러, 컴파일오류, 우분투리눅스, vmware오류

Aseprite 컴파일하다가 에러가 났네요

ninja: error: loading 'build.ninja': 吏?뺣맂 ?뚯씪??李얠쓣 ???놁뒿?덈떎. 이렇게 뜨네요. 혹시 왜 이러는지 알 수 있나요? Visual Studio Community 2022 Cake, ninja...

태그: aseprite, aseprite사용법, 컴파일에러, 컴파일오류, Aseprite컴파일

c언어 컴파일 에러

책 보고 만들고 있는데 무엇 때문에 컴파일 에러가 나는것인가요? 표준 c 에서 입력... 윈도우에서는 컴파일 에러가 뜹니다. 스캔 함수는 키보드 입력하면 입력된 코드가...

태그: c언어, 컴파일오류, 컴파일에러

아두이노 컴파일 에러

... 컴파일 에러 해결 부탁드려요...!! uint8_t bytes[]; 여기가 잘못되었어요. 크기가 지정되지 않은 배열은 사용이 불가능합니다. 배열의 크기를 지정해서 사용하시면...

태그: 아두이노, 컴파일에러

7segment vhdl 코딩 컴파일 에러

컴파일이 안됩니다.. 뭐가 문제인가요?? library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all;...

태그: vhdl코딩, 7segment, 컴파일에러

유니티 'Input' does not contain a...

... applySpeed = walkSpeed; } 유니티 'Input' does not contain a definition for 'GetKeyUP' 컴파일 에러나는 이유가 뭘까요.. 형광펜 표시한...

태그: 유니티, 컴파일오류, 컴파일에러, 지식인카드, 지식인카드답변, 플레이스URL

유니티 'Input' does not contain a...

'Input' does not contain a definition for 'Getkey' 코딩 유튜브 보며 그대로 따라했는데 저는 컴파일 에러가 나는 이유가 뭘까요..ㅠㅠ 스샷이 너무 작아...

태그: 유니티, 게임제작, 프로그래밍, 컴파일에러, 컴파일오류, 지식인카드, 지식인카드답변, 플레이스URL

C언어 오류

include <stdio.h> define SIZE 10 int array_equal(int a[], int b[], int SIZE); int main() { int i; int a[SIZE], b[SIZE]; for(i=0; i<SIZE; i++) scanf("%d", &a[i]...

태그: C언어, c언어오류해결책, 컴파일에러

    실시간 인기 검색어
    인기 검색어 더보기 →
    ...